Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Clock gating cell constraints in design compiler

Status
Not open for further replies.

kyonglee

Newbie level 5
Joined
Oct 20, 2010
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,361
I have clock gating cells from a standard cell library in my RTL design, and synthesize it using design compiler.
Does design compiler automatically relates the input/output clock relationship of the clock gating cells? Or, do I manually specify clk constraints (e.g., create_generated_clock)?

Thank you in advance.
 

if you have clock gating cells from the standard library. Could you post how do they look like? Please paste the code.

I am wondering do you need to synthesize these cells or not? You can avoid synthesizing these cells by putting

//translate off

before the code

and

//translate on

after the code that you dont want to synthesize. Google for more help
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top