Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Circuit that checks clocks performance that have different phase but same frequency

Status
Not open for further replies.

phoenix_pavan

Banned
Joined
May 10, 2008
Messages
24
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
0
Someone plz help

1 . There are 2 clocks of the same frequency but with a phase difference. Design a circuit to find which clock is lagging/leading:?:
 

Digital question

If the two clocks are XOR'ed, look for the XOR'ed output 1's posedge to coincide with a clock's posedge and negedge. The other clock is lagging this one.
 

Re: Digital question

Hi,

Design a FF, Give one clock to the CLK input of FF, another clock to D input of FF
Look for the output of FF. If you get the '1' at the first clock, the clock at the D input is leading, else it is lagging.

Please let me know, if it is wrong.

Regards,
CSuresh
 

Digital question

Q will be tied to either 1 or 0 throughout, good solution
 

Re: Digital question

phoenix_pavan said:
Someone plz help

1 . There are 2 clocks of the same frequency but with a phase difference. Design a circuit to find which clock is lagging/leading:?:

Use a flip-flop, one is the data and the other is the clock.
Then to check the output of flip-flop, you will get the different result.
If the result is '1', it means the data is the leading one.
Or else the clock is the leading one.
 

Re: Digital question

Thanx all of you for ur support.
It helped
 

Digital question

A DFF can do it easy .

one clock named A is used as Clock
The other is named B used as Input Data

if the output Q is "1", the B is leading,
of the output Q is "0", the A is leading.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top