Can not run simv after compile Verilog project by VCS

Status
Not open for further replies.

nmphus

Newbie level 1
Joined
Dec 7, 2019
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
10
Hello everybody,

I have a problem when use VCS from Sysnopsys.

When I compile a verilog file: vcs -R -debug_all mndf.v +v2k -full64

But I can not run ./simv. I tried and error as below:
Code:
--- Stack trace follows:

Dumping VCS Annotated Stack:
#0  0x000015554e279f16 in waitpid () from /lib64/libc.so.6
#1  0x000015554e1f5757 in do_system () from /lib64/libc.so.6
#2  0x00001555547e410b in SNPSle_10ee25eff68cd8461c9146fa1d0b35e87067f3c8015b313e639d2928478c79b3f673f99203bcf8be64600612100082236bffb2007f1e0ef9 () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/liberrorinf.so
#3  0x00001555547e5b16 in SNPSle_10ee25eff68cd8461c9146fa1d0b35e87067f3c8015b313efba706aab251478fa49e66610e453774633a6c152e7ef778f2202cda681f3d4e () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/liberrorinf.so
#4  0x00001555547de8c5 in SNPSle_d35ca1ff70d465c2b9b1a72eee90a506fdd009d3de3db1de () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/liberrorinf.so
#5  0x000015555133128f in SNPSle_64133461705005bb725549e2e6fa1b3f () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#6  0x000015555118475e in SNPSle_82244d58c54c18c70d63edc9becab634 () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#8  0x0000155554587960 in mem_free () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libsnpsmalloc.so
#9  0x0000155554568584 in snpsCheckFreeFunc () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libsnpsmalloc.so
#10 0x0000155550fca667 in SNPSle_77e776236473f4bc83d28b2811172395 () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#11 0x0000155550fc615f in SNPSle_4e2b4bf1677349c165275718f4085a72 () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#12 0x0000155550d9a7e1 in SNPSle_e08561af70b15158c1effee38014f2e6 () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#13 0x0000155550d9a8ee in SNPSle_6a600e65c1e59889d2167a3056e08869 () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#14 0x0000155550d9a944 in SNPSle_79019f86c02f3a7a4fc861b56b22e07a449f142e33a64a009cd88060dca82713b97bc310751f5b0c () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#15 0x000015554e6be4e2 in start_thread () from /lib64/libpthread.so.0
#16 0x000015554e2ad693 in clone () from /lib64/libc.so.6
#0  0x000015554e27a1d5 in nanosleep () from /lib64/libc.so.6
#1  0x000015554e27a0de in sleep () from /lib64/libc.so.6
#2  0x0000155550d6685e in SNPSle_95ae9cc2e78cc668673c60b8d88c4908 () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#3  0x0000155550d66a09 in SNPSle_92de4d0d4cf0d6931bc37e8d42a01d93 () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#4  0x0000155550d64f9a in SNPSle_b76ef993ee82b3d58a5cadddbec8b67c () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#5  0x0000155550d6524f in SNPSle_f28f24b8c84ac8f6e02e0b03bcd33aa8 () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#6  0x0000155550d4f269 in SNPSle_b02289328df49303de0a4a986839ba71c0ee578302ca9ac8 () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#7  0x0000155550d4fe12 in SNPSle_1bc1de7a7892c3dc9ed9fc9f718684af () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#8  0x0000155550d51890 in SNPSle_e376be325e61b493d6bd988d8077bacc () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#9  0x0000155550d5244c in SNPSle_a10369bdf7c5916ddd6866ce7e2e861c () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#10 0x0000155550d5530e in SNPSle_00b1acee80c770570cd75f9efbe24cca () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#11 0x0000155550d55ce4 in SNPSle_ba11b1edbd04051f5bb81b1861cdf84a () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#12 0x0000155550d41822 in SNPSle_2e65c0794628fc5af60953149776c29b () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#13 0x0000155550d3e594 in SNPSle_541f757be362289a7c9e5618c0ff28327846f8d3cc02839f () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#14 0x0000155550d38b2e in SNPSle_c0de1345d5ab80930e06dd2b68f214c3 () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#15 0x0000155550d38cdd in SNPSle_25cd5712eacded5feaee03dc3430943e () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#16 0x000015555134bfb8 in SNPSle_490598bfebcc8e8183ad3550288b1f82 () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#17 0x0000155551356986 in SNPSle_490598bfebcc8e81 () from /common/appl/synopsys/vcs/vcs-mx/N-2017.12-SP2/linux64/lib/libvcsnew.so
#18 0x0000000000406b4f in main ()

Process VmPeak: 297468 kb, VmSize: 231992 kb
System Free Memory: 256912 kb, System Free Swap: 4077564 kb

No context available
Note: Execution of simv exited with code 1
CPU time: .422 seconds to compile + .456 seconds to elab + .349 seconds to link + 2.445 seconds in simulation

Could you please help me overcome this problem?

Thank you so much,
BRs,
Min
 

I don't use VCS now a days...
But was your compilation vcs -R -debug_all mndf.v +v2k -full64 successfully completed?
Did it produce a correct simv executable?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…