Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Can I write C++ games for FPGA game console?

Status
Not open for further replies.

cyboman

Member level 4
Joined
Mar 9, 2010
Messages
71
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
USA
Activity points
1,808
FPGA game console

first of all i apologize for posting this question here, but i just couldn't find any other forum to post it at.

i'd like to build and FPGA game console in order to learn more about digital design and computers in general. i want to implement something like this:

https://www.youtube.com/watch?v=KmNaEnPSM9o

in comments they say that they used Verilog to create all the graphics and c++ to write actual games. i'm new to digital design (but i'm getting my head around it) and i think i can build a vga controller. i think i can even build a tetris on an fpga. but i can't figure out how did these guys made it read the c++ code from the sd card. i understand that it is possible to implement an sd card reader in fpga, but how do you make an fpga read c++ code, that is unclear to me.

i would really appreciate any advice, insights, suggestions, links and/or recommendations on what books i might need to read.

thanks
 

Re: FPGA game console

This game can be implemented on xilinx fpga using VHDL or Verilog language only. C or c++ language in fpga is used for programming soft core processor (Microblaze) soft core Microcontroller (picoblaze) and also for hardcore processor (like powerPC). I made a ping pong game on xilinx spartan series fpga using vhdl language. It is possible that you may require external memory (sd card, etc) for increasing the graphics quality of your game while all the controllers(vga controller, sd card reader controller, etc) can be implemented on fpga.


Regards,
Zafir Hafeez

Added after 2 minutes:

you should read 11 and 12 chapters of this book for interfacing monitor with fpga:

FPGA Prototyping by VHDL Examples
 

Re: FPGA game console

thanks for the advice. i got that book about 2 months ago and currently in the process of reading it.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top