Calling tasks hierarchically in Verilog

Status
Not open for further replies.

masai_mara

Advanced Member level 4
Joined
Aug 13, 2004
Messages
118
Helped
8
Reputation
14
Reaction score
2
Trophy points
1,298
Activity points
1,426
calling tasks in verilog

Hi,
I wanted to know if I have tasks defined in a module, can I access those tasks by hierarchical calling mechanism in a different module. also what do these contructs mean in verilog-
event test_end;
@(test_end);
code..
code..
-> testcase_done;
what does the -> signify ?

thanks.
 

Re: calling tasks in verilog

Ya , u can call a task from anywhere hierarchially... the code u are referring to deals with the event emission ... please go through this section in any verilog book u will find the required information .
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…