Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Cadstar File Open Default

Status
Not open for further replies.

bigalfromwigan

Newbie level 1
Joined
May 10, 2016
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
14
I do not think this has been asked before but if it has and I missed it, apologies.

I have been using Cadstar for some years and it has this annoying habit that I would like to sort out for my own satisfaction.

The situation is that I have for instance a PCB file open and I decide to open the corresponding schematic, so the file open dialogue opens but it only shows the PCB files, and I have to click the options box to change it to schematics. The opposite is true if I have a schematic open.

There is an option for All Design Files. Is it possible to modify the default so that no matter what I have open, it will default to All Design Files?

I hope somebody knows an answer to this one.

Thanks for looking.
 

I always open my schematic in one instance of CS and the PCB in another, then I can have both displayed simultaneously (dual monitors required and should be standard for this sort of work) and can cross probe between designs... I also use workspaces and never open a file directly.
As to that irritating little trait, never been able to solve that one... Matt may know a way, but I haven't found one, hence why I use two instances and workspaces.
 

Hi BigAl....

While I do know exactly what you mean, no I do not know of a way of doing this, but my interest has been peaked and I'll see what I can find out - I think its a hard coded thing that makes it show the last filetype opened as I cannot find a registry setting for it.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top