Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Cadence schematic editor with 90n library

Status
Not open for further replies.

spartacus2

Newbie level 6
Joined
Apr 1, 2006
Messages
14
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,459
should be either a string or a symbol

Hi

I am working with cadence tool. I am using schematic editor with the 90n technology, but while simulating I am getting failures. With the .18u and .25u the things are fine. Can anyone help me in this regard.
The log message is as follows:


w *WARNING* - Cadence® Analog Design Environment: No directory selected
\a hiResizeWindow(window(1) list(445:23 1170:184))
\r t
\r ("/net/zeus/users/ssarkar/cadence/models/spectre" "/net/cadence/NCSU/models/spectre/public")
\a mpuEditForm->l->value = '("/net/zeus/users/ssarkar/cadence/models/spectre" )
\r ("/net/zeus/users/ssarkar/cadence/models/spectre")
\a hiFormDone(mpuEditForm)
\o *Warning* Model path directory "/net/cadence/NCSU/models/spectre/public" does not exist.
\r t
\r t
\a sevMpuTool('sevSession2)
\p >
\a hiFormDone(mpuEditForm)
\r t
\r t
\a sevChooseEnvironmentOptions('sevSession2)
\p >
\a hiiSetCurrentForm('_asii_spectreS3_envOpts_form)
\r t
\a _asii_spectreS3_envOpts_form->initFile->value = "."
\r "."
\a hiResizeWindow(window(3) list(356:129 1256:829))
\r t
\a hiResizeWindow(window(3) list(368:238 1268:938))
\r t
\a _asii_spectreS3_envOpts_form->initFile->value = ".cdsinit"
\r ".cdsinit"
\a hiFormDone(_asii_spectreS3_envOpts_form)
\r t
\r t
\a sevEditStimulus('sevSession2 'analog)
\p >
\a hiiSetCurrentForm('editStimulusFileForm3)
\r t
\a editStimulusFileForm3->editorType->value = "graphical"
\r "graphical"
\a hiFormDone(editStimulusFileForm3)
\p >
\a hiiSetCurrentForm('artStmStimuliForm3)
\r t
\a artStmStimuliForm3->artStmTranType->value = "pulse"
\r "pulse"
\a artStmStimuliForm3->val1->value = "1.0"
\r "1.0"
\a artStmStimuliForm3->delay->value = "0.1n"
\r "0.1n"
\a artStmStimuliForm3->rise->value = "0.05n"
\r "0.05n"
\a artStmStimuliForm3->fall->value = "0.05n"
\r "0.05n"
\a artStmStimuliForm3->width->value = "2n"
\r "2n"
\a artStmStimuliForm3->period->value = "4n"
\r "4n"
\a artStmStimuliForm3->artStmEnabled->value = t
\r t
\a artStmChangeCB(artStmStimuliForm3)
\r ("ON sCLK /gnd! Voltage pulse \"Voltage 1\"=0.0 \"Voltage 2\"=1.0" "OFF rCLK /gnd! Voltage dc")
\a artStmStimuliForm3->artStmBox->value = '("OFF rCLK /gnd! Voltage dc" )
\r ("OFF rCLK /gnd! Voltage dc")
\a artStmStimuliForm3->artStmEnabled->value = t
\r t
\a artStmStimuliForm3->artStmTranType->value = "pulse"
\r "pulse"
\a artStmStimuliForm3->val1->value = "1.0"
\r "1.0"
\a artStmStimuliForm3->delay->value = "0.1n"
\r "0.1n"
\a artStmStimuliForm3->rise->value = "0.05n"
\r "0.05n"
\a artStmStimuliForm3->fall->value = "0.05n"
\r "0.05n"
\a artStmStimuliForm3->width->value = "3n"
\r "3n"
\a artStmStimuliForm3->period->value = "6n"
\r "6n"
\a artStmChangeCB(artStmStimuliForm3)
\r ("ON sCLK /gnd! Voltage pulse \"Voltage 1\"=0.0 \"Voltage 2\"=1.0" "ON rCLK /gnd! Voltage pulse \"Voltage 1\"=0.0 \"Voltage 2\"=1.0")
\a artStmStimuliForm3->artStmStimulusType->value = "Global Sources"
\w X Toolkit Warning:
\w Name: scrolledListSW
\w Class: XmScrolledWindow
\w DeAssocNavigator requires a navigator trait
\w
\r "Global Sources"
\a artStmStimuliForm3->dc->value = "1.0"
\r "1.0"
\a artStmStimuliForm3->artStmEnabled->value = t
\r t
\a artStmChangeCB(artStmStimuliForm3)
\r ("ON vdd! /gnd! Voltage dc \"DC voltage\"=1.0")
\a artStmChangeCB(artStmStimuliForm3)
\r ("ON vdd! /gnd! Voltage dc \"DC voltage\"=1.0")
\a hiFormDone(artStmStimuliForm3)
\r t
\r t
\r t
\a sevEditSelectedAnas('sevSession2)
\p >
\a _asii_spectreS3_analysis_form->tran_to->value = "10n"
\r "10n"
\a hiFormDone(_asii_spectreS3_analysis_form)
\r t
\r t
\a sevChangeOutsOnSchematic('sevSession2 'plot)
\a hiSetCurrentWindow(window(3))
\r t
\a hiSetCurrentWindow(window(7))
\r t
\r t
\a hiResizeWindow(window(3) list(368:238 1268:938))
\r t
\a hiSetCurrentWindow(window(3))
\r t
\a hiZoomRelativeScale(getCurrentWindow() 0.8)
\r t
\a hiZoomRelativeScale(getCurrentWindow() 0.8)
\r t
\a hiZoomRelativeScale(getCurrentWindow() 0.8)
\r t
\a hiZoomRelativeScale(getCurrentWindow() 0.8)
\r t
\a showClickInfo()
\i -2.5:-0.625
\i -2.5:-0.625
\r window:3
\a hiResizeWindow(window(3) list(329:253 1229:953))
\r t
\a showClickInfo()
\i 2.375:2.5625
\i 2.375:2.5625
\r window:3
\a showClickInfo()
\i 2.5625:-1.625
\i 2.5625:-1.625
\r window:3
\a hiSetCurrentWindow(window(7))
\r t
\a sevRunEngine('sevSession2)
\o searching design for variables...
\o Delete psf data in /net/zeus/users/ssarkar/cadence/simulation/FIFOcontrl/spectreS/schematic/psf.
\o cdf was updated...
\o ...successful.
\o expanding design...
\o ...successful.
\o extract...
\o ...successful.
\o search for new design variables...
\o ...successful.
\o checked design variable values...
\o ...successful.
\o starting simulator...
\o ...successful.
\o generate raw netlist...
\o Running Artist Hierarchical Netlisting ...
\o *Error* Cell: nhvtpddp in library: cmos090 is missing a simInfo
\o section in it's CDF for the current simulator.
\a hiResizeWindow(window(1) list(445:23 1170:184))
\r t
\o *Error* Cell: nhvtpddp in library: cmos090 is missing a simInfo
\o section in it's CDF for the current simulator.
\a hiResizeWindow(window(1) list(445:23 1170:184))
\r t
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\o *Error* Cell: phvtpudp in library: cmos090 is missing a simInfo
\o section in it's CDF for the current simulator.
\a hiResizeWindow(window(1) list(445:23 1170:184))
\r t
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\o *Error* Cell: nsvtpddp in library: cmos090 is missing a simInfo
\o section in it's CDF for the current simulator.
\a hiResizeWindow(window(1) list(445:23 1170:184))
\r t
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\e *Error* artIsCallablep: argument #1 should be either a string or a symbol (type template = "S") - nil
\o End netlisting Sep 11 15:51:30 2006
\o "Netlister: There were errors, no netlist was produced."
\o ...unsuccessful.
\o ...unsuccessful.
\r t
\o Welcome to cdsSpice 5.0
\a hiSetCurrentWindow(window(3))
\r t
\a showClickInfo()
\i -1.5625:2.5
\r window:3
\a hiResizeWindow(window(1) list(0:0 1268:988))
\r t
\a hiResizeWindow(window(1) list(0:0 1268:988))
\r t
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top