Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

block memory access prob (ISE & Quartus)

Status
Not open for further replies.

childs

Member level 5
Joined
Apr 28, 2008
Messages
87
Helped
15
Reputation
30
Reaction score
12
Trophy points
1,288
Activity points
1,945
Recently while I am programming a Spartan-3E board using ISE10.1 web edition, I came across the problem where my design passed the compilation and synthesis where i set the timing constraint at 20ns (50MHz as i am using the onboard oscillator). No warning or error is shown but the memory write operation is not performed. However after program to Spartan-3E, the memory write operations sometimes cannot be performed. Note that is "sometimes" cannot be performed, and it is very unsteady.

I came across the same problem while I was using Quartus2 web edition months ago. No warning or error is shown but the memory write operation is not performed. It was solved as I put the timing constraint to 10ns, and run the simulation later using clk speed of 20ns period. However in the Spartan-3E design now, I cannot afford to put timing constraint of 10ns as the logic is not sufficient.

Does anybody know about/encounter this problem? Any idea how to solve?? Thanks in advance...
 

block memory access prob (ISE & qu(at)rtus)

50 MHz is rather slow for on chip memory, I expect a basic design problem.
 

Re: block memory access prob (ISE & qu(at)rtus)

FvM said:
50 MHz is rather slow for on chip memory, I expect a basic design problem.

The bugs on Spartan-3E board appears to be other problem. However this bug does happen few months ago when i was designing in Quartus 2.

...I came across the same problem while I was using Quartus2 web edition months ago. No warning or error is shown but the memory write operation is not performed. It was solved as I put the timing constraint to 10ns, and run the simulation later using clk speed of 20ns period....
 

block memory access prob (ISE & qu(at)rtus)

Have you set all the constraint?
Not only the clock one but also setup and hold?
If yes maybe you've to correct some of that for traces to be taken in account.
Take your digital scope and look at your signal at the Memory side (when you write) and then do the same at FPGA side (when you read) in order to set up the right constraints.

Added after 4 minutes:

Ops, maybe I'we read wrong..
You're not speaking of an external RAM but of an internal one, right? (in previous thread I've suggested what to do if it were outside of FPGA)
If it's of the internal one, on quartus you've to put attention on all the option you can choose and be sure that all your write and read code is synchronous with the Memory.
I mean that if the memory is 50MHz, all your signal MUST be generate with THE SAME 50 MHz.
If you don't do it (but maybe you generate some signal in different way) you NEED to set all the timing constraint because Quartus will analyze it right (I mean multicycle if you generate signal with different but related clock or set up and hold for different way signal generation).
Usually that's a pain so I suggest to do a fully synchronous design, that is always better.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top