Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Available: Detailed RISC CPU IP Core Design Documentation

Status
Not open for further replies.

JohnG300c

Advanced Member level 4
Joined
Dec 5, 2006
Messages
117
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
2,228
Hello,

I have put up an article on our web site that describes a RISC CPU IP Core
that was created for one of our clients:
http://www.summitsoftconsulting.com/Pic10IpCore.htm

The RISC IP Core is instruction-compatible with the Microchip
PIC10F200-series of microcontrollers. Full design documentation is available
as well as full Verilog source code (including a full set of test benches).
This is a generic Controller-Datapath design that easily can be ported to VHDL.

I have attached the design document and the Verilog sources.

Thanks,
/John.

--------------------------------------------
John Gulbrandsen, Summit Soft Consulting
Professional Windows Systems Programming

26895 Aliso Creek Rd. Suite B504
Aliso Viejo, CA 92656-5301

Phone (877) 839-2543
Fax (877) 349-1818

John.Gulbrandsen (a t) SummitSoftConsulting (d o t) com
--------------------------------------------
 

Re: Available: Detailed RISC CPU IP Core Design Documentatio

Hi,

Does this IP is free?
 

Yes, the Verilog included can freely be used.

/John.

--------------------------------------------
John Gulbrandsen, Summit Soft Consulting
Professional Windows Systems Programming

26895 Aliso Creek Rd. Suite B504
Aliso Viejo, CA 92656-5301

Phone (877) 839-2543
Fax (877) 349-1818

John.Gulbrandsen (a t) SummitSoftConsulting (d o t) com
--------------------------------------------
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top