altera_mf.v in altera suite what is required in xilinx ?

Status
Not open for further replies.

Guru59

Full Member level 4
Joined
Jul 10, 2006
Messages
217
Helped
7
Reputation
14
Reaction score
3
Trophy points
1,298
Activity points
2,812
hello all,
i have generated a RAM file in xilinx using core generator.
now to simulate the RAM file or to use it in my blocks what files are required to be compiled.
i mean to ask as altera_mf.v in altera suite what is required in xilinx.
 

Hi,

In Xilinx you have the Unisims and XilinxCoreLib libraries. You can find the sources of both libraries in:
<install_dir>/verilog/src
<install_dir>/vhdl/src

Devas
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…