Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Altera FPGA SRAM Programming

Status
Not open for further replies.

m_llaa

Full Member level 4
Joined
Mar 12, 2006
Messages
227
Helped
44
Reputation
88
Reaction score
21
Trophy points
1,298
Location
My Love Iran
Activity points
2,558
iord_32direct

Hi
I am working with Altera FPGA, Stratix II EP2S60 with IDT SRAM, but i cant find any documents about sram programming in nios, for example writing 1 word in known address in nios environment.
thanks
 

iowr_32direct

I find 2 macros, IOWR_32DIRECT() and IORD_32DIRECT()
I can write to my ram by IOWR_32DIRECT() but I cant read from it by IORD_32DIRECT()

IOWR_32DIRECT(BASE,OFFSET,DATA);
IORD_32DIRECT(BASE,OFFSET);
any guide?
thanks
 

fpga sram

Hi,
Under Alter installation ,find the standard directory and use the
standard qpf file in SOPC builder and try to access ur SRAM using Nios IDE.
 

iowr_32direct altera

I'm using memory type Avalon interface for buffers and other memories not register type. Macros IOWR..., IORD... are dedicated for register type inteface. I'm using pointers like " unsigned short* ScopeBufPtr = (unsigned short*) SCOPE_0_SCOPEBUFFER_BASE; ", where base adress SCOPE_0_SCOPEBUFFER_BASE generated by SOPC builder can be found in "system.h" file. Then simply use W = *ScopeBufPtr; etc... Hope this helps. Regards Paul.
 

    m_llaa

    Points: 2
    Helpful Answer Positive Rating
altera macro iowr

Hi BGAman
Thanks for your comment.
Is it any different between IOWR( ) and IORD( ) macros and writing and reading with pointer?
I used those macros but I had problem in reading. if delay between writing and reading increase, I can just read 0xffffffff
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top