Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

all my cpld outputs are inverted

Status
Not open for further replies.

ahz571

Newbie level 1
Joined
Dec 5, 2005
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,288
i am using webpack 7.1 on the xc9572 cpld . my problem is that whenever i use a "and-gate", its output is as if i am using a "nand gate" (inverted output). did anyone encoutered this problem before?
 

The Xilinx Answer Database is very helpful:
**broken link removed**
 

i am working on the same cpld XC9572 PC44 configuration. i just implemented a decoder so as to check the device and encountered the same problem but when i used the PC84 model, no problems. so use PC84 if you have the resources to do so.
 

ritesh1985 said:
i am working on the same cpld XC9572 PC44 configuration. i just implemented a decoder so as to check the device and encountered the same problem but when i used the PC84 model, no problems. so use PC84 if you have the resources to do so.


you have to install sp for webpack 7.1.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top