Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Adding new signals at the modelsim wave without restart

Status
Not open for further replies.

nio123

Newbie level 6
Joined
Aug 28, 2006
Messages
14
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,379
how to add signal in modelsim to wave

Hi every body !:!:

May someone know, how can i add new signals ,
at the modelsim wave, without restart again the modelsim?
(I mean: i have some signals in the wave, but i want to add more,
but without restart again )

thanks

nio123
:D
 

modelsim 6.3c no wave signals

mmm...i'm not sure you can do that...
they'll always be "undefined"
did you try to stop the simulation and add the waves
i don't think it'll work out...you have to restart..!
if someone has other words..share them plz :)
 

After I run a simulation, I can type "add wave signalname", and the new signal waveform appears at the bottom of my wave window.
Or I type "add wave modulename/*", and it displays all the signals in that module.
I'm using ModelSim SE 6.3c.
 

but will they be activated and take values when you do that...?!
they'll always be undefined and you got to restart..? right...!!!!
 

I don't have to restart to see the newly added waveform. It appears instantly.

Many months/years ago, I saw the problem where I add a signal and it simply adds the name but the waveform is empty. If I continue the simulation, then it begins drawing the waveform from that point in time, but the earlier data is blank. I haven't seen that problem for a long time. Maybe I'm doing something differently now, or maybe it's because I'm using a newer version of ModelSim. Maybe I'm doing something now that causes ModelSim to store all internal waveforms even if they aren't currently displayed. I'm not sure.
 

but if you add a wave after the simulation started and you want to see the values of that wave from that point of time...you can't cause it didn't start with all the other signals from the beginning of the simulation...and you have to restart...

and then again maybe i'm wrong, but i've experienced that before..and i had to restart...maybe there's something up with newer modelsim versions or there's something that can be done about that and i have no idea about it :D
 

Before you run the simulation, use the command (either type it or put it in the script)

"log -r *"

This will save every waveform in the simulation. Thus, when the simulation is done, or paused, you can add whatever waveform you like, anytime.

Of course, depending on how big or how long your simulations are, you can end up with a very large .wlf file. But personally, I think its worth the extra disk space required.
 

Sure enough, I have "log -r /*" in my default "do" script. I probably added it a couple years ago to solve the annoyance discussed above.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top