Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Adding new ports to a user peripheral in XPS

Status
Not open for further replies.

spman

Advanced Member level 4
Joined
Aug 15, 2010
Messages
113
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
2,061
Hi,

I follow these steps to add a new peripheral to an XPS project:

-Create or Import Peripheral -> Create templates for a new peripheral -> ...
-adding ports to myperipheral.vhd
-adding ports and logics to user_logic.v
-Rescan User Repositories

But after doing these steps, when I add the peripheral to the project, the new ports are not available in system assembly view. I think XPS doesn't get my modifications. what's wrong in my work?
Thanks in advance
 

Hi,

I follow these steps to add a new peripheral to an XPS project:

-Create or Import Peripheral -> Create templates for a new peripheral -> ...
-adding ports to myperipheral.vhd
-adding ports and logics to user_logic.v
-Rescan User Repositories

But after doing these steps, when I add the peripheral to the project, the new ports are not available in system assembly view. I think XPS doesn't get my modifications. what's wrong in my work?
Thanks in advance

if you add ports to your pcore you need to add them also manualy to the data/my_core.mpd file
like :
PORT my_port ="", DIR=I, BUS=my_bus --INPUT
PORT my_port ="", DIR=O, BUS=my_bus --OUPUT
 
  • Like
Reactions: spman

    spman

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top