Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

adc interface with spart 3a starter kit

Status
Not open for further replies.

johnsj73

Newbie level 4
Joined
Sep 11, 2009
Messages
5
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Location
india
Activity points
1,311
could anyone help me with the code of interfacing the adc in spartan 3a kit. vhdl will be better. any other info will also be helpful
thanks 4 ur replies
 

provide some info regarding the ADC type
like
ADC chip number,
how many output pins it have...
and how many gpio pins ur spartan bard hav??
 

thanx for the reply.
i am using the spartan 3a starter kit. after i posted the quiry, i succeded in configuring the adc and the amplifier. i just started again from the start and my new program worked, though i have not found out why my old program was not working.
the adc outputs are in 14 bit two's complement so now now i am working on a program which will process this data.
 

Could I perhaps take a look at your ADC code, I'm in need of code for an ADC-convertor and I'm gathering some information to write my own code, since I'm just starting using VHDL... (I'm not trying to simply copy your stuff ;-) )
Greetings!
 

Hi.I am working on my thesis and i have to use amp and adc.I have made my code by reading the manual.But i don't have right results.I suppose that the problem is with spi_sck and ad_conv . I cant understand from the manual how many cycles spi_sck haw to be '0' in sample point.I use 1mhz clock , for amp and adc. So spi_sck is also 1mhz.(right?)
My question is: how many cycles have to turn off spi_sck for sample point?

thanks in advance..

Also if u have any other point that i have to be carefull.....
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top