Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

about different warning messages in xilinx

Status
Not open for further replies.

kannan2590

Member level 4
Joined
Sep 1, 2012
Messages
77
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
india
Activity points
2,321
the code for fir filter is


Code VHDL - [expand]
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
 use IEEE.STD_LOGIC_ARITH.ALL;
 use IEEE.STD_LOGIC_SIGNED.ALL;
 
---- Uncomment the following library declaration if instantiating
 ---- any Xilinx primitives in this code.
 --library UNISIM;
 --use UNISIM.VComponents.all;
 
entity subfilt1 is
 Port ( dout : out STD_LOGIC_vector(27 downto 0);
 clk : in STD_LOGIC;
 
reset : in STD_LOGIC;
 din : in STD_LOGIC_vector(7 downto 0));
 end subfilt1;
 
architecture Behavioral of subfilt1 is
 
component DFF is 
port(
 q : out STD_LOGIC_vector(7 downto 0); --output connected to the adder
 Clk :in std_logic; -- Clock input
 rst :in std_logic;
 d :in STD_LOGIC_vector(7 downto 0) -- Data input from the MCM block.
 );
 end component; 
 
signal H01,H049 : STD_LOGIC_vector(19 downto 0) := (others => '0');
 signal MCM02,MCM03 : STD_LOGIC_vector(27 downto 0) := (others => '0');
 signal add_out02 : STD_LOGIC_vector(27 downto 0) := (others => '0');
 signal Q02 : STD_LOGIC_vector(27 downto 0) := (others => '0');
 signal din1:std_logic_vector(7 downto 0):=(others =>'0');
 begin
 
H01 <= "11111111111111110101"; 
H049 <= "00000010100101011100"; 
--dff2 : DFF port map(Q02,Clk,MCM02);
 dff2 : DFF port map(q => din1,Clk=>clk,rst => reset,d => din);
 p01001:process(Clk,reset)
 begin
 if reset='0' then 
dout <="0000000000000000000000000000";
 
elsif clk'event and clk='1' then
 
MCM02 <= din*H01;
 MCM03 <= din1*H049;
 
add_out02 <= MCM02+ MCM03;
 dout <= add_out02;
 end if;
 end process p01001;
 
 
end Behavioral;




when i synthesize this code i get warning messages like <dout 1>,<dout 2>,<dout 3>,<dout 4>,<dout 5>,<dout 25>,<dout 26>,are unconnected.so i am using vertex 4 fpga and the simulation results are coming correct inspite of these warning messages . whether these warning messages effect the output in fpga and if it effects how to correct and solve such warning messages?
 
Last edited by a moderator:

its probably because those bits are stuck at 0 or 1.
 

Both Altera and Xilinx have the ability to draw a schematic of the RTL and the as synthesized schematic. Have you tried looking at them?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top