about 1-order noise shaping ...

Status
Not open for further replies.

super

Full Member level 2
Joined
Aug 18, 2002
Messages
125
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
904
Dear all:
I according book using simulink to build 1-order
delta-sigma modulator, but the result is not correct,
It's should be have continuous pulse ,but from result only one pulse .
what's happen ??

Thanks .
 

why do you add const block inside the loop?
 

which constant block ??
constant 2 is input signal ,
constant 3 is noise .
 

hi super,i am leraning sigma delta too,it seems u don't have the clock on the integer delay block,and why do u know the noise ican model as constant 1 ,i think it is wrong,when u get the right simulation,please post on the forum.thanks
 

It's overflow
 

Ansunamu
would you descrip it cleraly?thanks
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…