8 bit counter....................

Status
Not open for further replies.

anu nair

Newbie level 5
Joined
Sep 28, 2006
Messages
10
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
1,343
hi all
see iam in urgent need of a 8 bit up counter for my project.
anybody who is aware of this coding plz sennd me this.


regards anu.
 

In Verilog 2001:

reg [7:0] counter = 0;
always @ (posedge clock) counter <= counter + 1;
 

in VHDL
t: variable 0 to 255;

if (clk'event and clk='1') then
t:=t+1;
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…