Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

2Dimension array of bit_vectors.Plz Help!!!

Status
Not open for further replies.

triggerman

Newbie level 6
Joined
Dec 6, 2009
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,412
I want to insert an image as an array in VHDL.I am new to the language so i the simplest thing i thought was this :
PACKAGE newtype IS
TYPE vector_array IS ARRAY (0 to 9,0 to 9) OF
BIT_VECTOR(7 DOWNTO 0);
END newtype;

USE WORK.newtype.ALL;
ENTITY test IS
PORT ( inp1 : IN vector_array);
END test;
ARCHITECTURE arch OF test IS
BEGIN
END arch;

The code above has no errors and do what i want to do but it uses many pins(800).So i want to ask if there is a solution to do the same thing(read an 10x10 array of bit vectors) but with less pins used.

Thanks in advance
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top