Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

value change dump file

Status
Not open for further replies.

research235

Full Member level 6
Joined
Mar 15, 2006
Messages
331
Helped
24
Reputation
48
Reaction score
6
Trophy points
1,298
Activity points
3,100
value change dump

Dear all

I need Tcl code for value change dump file .. if there is somebody who had already worked on this code nd if they have this .. please let me know as i am very much in need of this .

your help will be greatly appreciated ..

vlsi student
 

value change dump file format

research235 said:
Dear all

I need Tcl code for value change dump file .. if there is somebody who had already worked on this code nd if they have this .. please let me know as i am very much in need of this .

your help will be greatly appreciated ..

vlsi student
What exactly do you mean by "Tcl code for VCD"? Do you want TCL code that when used with a tool like MTI/VCS/NC will dump VCD? Or a code that will "create VCD" from a Verilog design?

Explain your problem better for quick solution

Regards
Ajeetha, CVC
www.noveldv.com
 
viewer vcd value change dump

hello Ajeeta

thanks a lot for ur reply. i am actully a bit confused with all this vcd files and other stuff. i read all the posting abt vcd in the same forum and i read soem of ur previous replies also ;).... now my prob is .. i am working on a project and for this i am using some C code(written by some one else ) for generating a vcd file ...i realised just now that we can actually just put a piece of code in the test bench to generate the vcd file...
I now want to know .. if there is any way trough which we can generate wave form form the obtained vcd file .. using some tool ... i dont know but is it possible tp feed back this vcd file into model sim to get wave forms .?? please can u explain me in details as to tell wats actually can be done to know abt the exact timing information at each net of a design ....

vlsi student
 

value change dump format

Yes you can see wave form by converting vcd file to wlf format recognised by
modelsim for this you need to use vcd2wlf program which comes with modelsim.
OR you can download GNU gtkwaves free vcd viewer from net its available both for
windows and linux/unix platfroms!
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top