Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Generating Functional Coverage in Cadence ncsim

Status
Not open for further replies.

prachi_patel

Newbie level 1
Joined
Jan 20, 2009
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,288
In my code, I have created covergroup and coverpoints. I sample these coverpoints on a certain event. To generate functional coverage, I have passed the argument as +nccoverage +all

Using iccr cmd, I tried to view the report, but could not see any report of functional coverage. I am using ncverilog version 10.20.

Could anyone let me know the correct command for generating the funtional coverage?

thanks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top