Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

send and receive data through FPGA

Status
Not open for further replies.

islamtaha

Newbie level 3
Joined
Feb 19, 2012
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,302

we do a project in Iamge Processing field

We use catapult Tool to do Decoder HW , Write VHDL code.

My Q. Is :-

How to send and receive data from PC to FPGA ?

I mean how to send data to FPGA and how to receive data from FPGA after FPGA complete it's job ?


 

You need an UART of some sort, be it USB or RS232. It dependeth on the required bandwidth.
 
Sure. If you want RS232, then this link is a good place to start. It's got working verilog code too that you can use.
 

Real thanks to u .
Could u give me link about USB connection ?
 

USB can be a bit more complicated, but a good way to do USB is to use an usb slave converter like some of these.

That way on the FPGA you still have a simple RX/TX serial interface. You can even use the RS232 code from the earlier link. Physically you get an USB connection to the PC. And on the PC side the drivers will give you a virtual com port. Which means that on the PC side you can also use the same sort of software as you would use for a regular RS232 link.

There are other manufacturers for this sort of chip, but FTDI is pretty common and as such a good starting point IMO.

Good luck!
 
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top