Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Frequency divider/multiplier with Verilog-A?

Status
Not open for further replies.

pyrite

Member level 2
Joined
Aug 9, 2004
Messages
44
Helped
0
Reputation
0
Reaction score
0
Trophy points
6
Activity points
0
verilog frequency divider

HI,
How to model a frequency divider or multiplier with verilog-A?

I have an input clk signal and I want to write a verilog-A model that can output different clock frequency based on the input clk frequency and the multiplier/divider value that user input.

I have been trying for awhile but couldn't get it to work. Can someone show me an example?

THanks
Jeff
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top