Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] VHDL code for serial in parallel out needed

Status
Not open for further replies.

dimitarlazarevski

Newbie level 2
Joined
May 18, 2008
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,307
Could any one provide me the code for serial in parallel out. It has to have 6 bit out data. The serial in data i have to put it by my hand in binary code. The board is Xilinx Spartan 3E; chipset? XC3S100E; TQ144

BASYS (Basic System Board) Digilent
Thank u
 

Re: vhdl code needed...

A basic serial-to-parallel conversion is just a few lines of code. There may be other requirements you didn't yet tell.
Code:
signal sr: std_logic_vector(5 downto 0);
begin
process (clk);
  begin
    if rising_edge(clk) then
      begin
        sr <= sr(4 downto 0) & si;
      end;
  end;
end;
 

Re: vhdl code needed...

Hi,

Just some enhancments :

Code:
signal sr: std_logic_vector(5 downto 0);
...

begin
...
 
process (clk, rst); 
begin 

    if rst = '0' then
      sr <= (others <= '0');

    elsif rising_edge(clk) then 
      sr <= sr(4 downto 0) & si; 

    endif; 

end;
 

vhdl code needed...

I think you can use inbuilt serdes blocks(soft-blocks) for this .You can take the primitive definition from Xilinx data sheet .
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top