Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What's the best VHDL/Verilog/SystemVerilog editor?

Status
Not open for further replies.
I likes аldec аctive hdl editor. it has auto-formating for HDL, it's very useful.
 

I myself consider Emacs one of the best editors ever .. and it comes by default under linux installations ..

Yet, comparing some some modes to others, VHDL-mode is very poor compared to Verilog-Mode .. I'm not sure if there is something better for VHDL editing or not .. given being free
 


hdlcohen said:
omara007 said:
Yet, comparing some some modes to others, VHDL-mode is very poor compared to Verilog-Mode .. I'm not sure if there is something better for VHDL editing or not .. given being free
I used both extensively. The VHDL mode has a beautify buffer that fixes up all the indentations. It also has a create testbench.
My copy of that is at
https://SystemVerilog.us/vhdl-mode.el
https://SystemVerilog.us/vhdl-mode.elc
Ben

Using VHDL-Mode, how do you handle things like changing IO for one block in the hierarchy, automatic declaration of signals, updating the sensitivity list of a process, etc ?

In Verilog-Mode, all these things can easily be done using AUTOs.
 

omara007 said:
Using VHDL-Mode, how do you handle things like changing IO for one block in the hierarchy, automatic declaration of signals, updating the sensitivity list of a process, etc ?

In Verilog-Mode, all these things can easily be done using AUTOs.
I haven't used the AUTO feature of verilog. However, SystemVerilogmade life a lot easier on things like the port declaration, and sensitivity list (like the always_comb).
In any case, teh completion mode of emacs is great.

On typing tools, I use Texter, and highly recommend it. It works on almost all files, emacs, WORD, Excel, PPT, and browsers (except for MS Explorer). For example for the word "assertion" I type "a TAB_key. For consequent, I type "co space_key". But you can define your own keys.
What it does: Lets you define text substitution hotstrings that, when triggered, will replace hotstring with a larger piece of text. By entering your most commonly-typed snippets of text into Texter, you can save countless keystrokes in the course of the day

https://lifehacker.com/software/texter/lifehacker-code-texter-windows-238306.php

That also works in emacs. I highly recommend this GNU Texter program.
Ben@SystemVerilog.us
 

Windows - notepad++
Linux - kate

I want test DVTEclipse.
 

has anyone tried the SVEditor 0.2.0 plugin for eclipse?

https://mac.softpedia.com/get/Developer-Tools/SVEditor.shtml

Key features:
· Colorizing for SystemVerilog keywords
· Outline view linked with editor
· File structure view
· Context-sensitive content assist
· Context-sensitive navigation (eg Open Declaration)
Requirements:
· Eclipse
· Java

What's New in This Release: [ read full changelog ]
· Add basic support for code templates. Currently, no built-in code templates are provided, though contributions are very welcome. Suggestions for extending code-template support are, likewise, welcome.
 

Notepad++ contains support for all the available programming languages based on their syntax. You can download it from download.com for free
 

for windows platform, UE is good!
for linux platform, VI is good!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top