Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Synopsys DC error with UMC libraries

Status
Not open for further replies.

satyakumar

Full Member level 3
Joined
May 18, 2006
Messages
186
Helped
20
Reputation
40
Reaction score
11
Trophy points
1,298
Location
Bangalore
Activity points
2,411
Im using DC_2004.12, using UMC 0.13µ mtr libraries. The problem is when I tried to compile either verilog or VHDL design the DC exits by giving error (There is no inverter in the library, inverter is needed for mapping) . can any body tell me what might be the exact problem i.e either in DC or library.
 

Try with command line write

read_lib <library name>.lib

And is necessary to look it is lib file in text editor. Invertor exist in this library or not!!!

Best regards!
 

you can use link command to debug!!
 

What link command?
 

Thanks shurik,
I did in the same manner u told, there was sintax error. I edited that one and now able to overcome the error.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top