Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

why we are going for functional verification

Status
Not open for further replies.

ikru26

Banned
Joined
Feb 1, 2005
Messages
97
Helped
8
Reputation
16
Reaction score
7
Trophy points
1,288
Location
INDIA
Activity points
0
can any one tell me about the difference between functional verificatiopn .formal verification and assertion based verification..and what are the tools used for it.
 

Functional Verification is the process of verifying that a design operates correctly as described in the architectural and functional specifications. It targets the logical design of the product, and typically assumes that the physical design process is unrelated.

In assertion-based verification, RTL assertions are used to capture design intent in a verifiable form as the design is created, providing portable monitors that check for correct behavior. During simulation, assertions improve observability coverage, making the source of an error evident. Simulation debug time is greatly reduced. As targets for formal verification, assertions improve controllability coverage.

Link for good articles -
**broken link removed**
**broken link removed**
**broken link removed**
**broken link removed**
 

i tot there is 2 types for this aspect... functional verification and timing verification...

functional verification is just to check the whether the output is as expected wthout consider the timing issue

timing verification involve everything...

correct?

regards,
sp
 

Hi.

Formal verification can be viewed from two points of view: one is model checking and the other is equivalence checking. Model checking is to check the equivalence between the specification and the design. Equivalence checking is to check the original design and the transformed design. Here, the examples of the transformed design are synthesized design, back-annotated design, etc., that is obtained from the original design, say, VHDL or Verilog HDL design.

By the way, the objective of the functional simulation is checking the logic of the design from the functionality point of view. The functional simulation is very important in the design flow in case of some debugging required.
 

so is functional verification and formal verificationa are same ..

what are the tools used for different verifications.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top