Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to constraints clock to synthesis DDR logic

Status
Not open for further replies.

haianh

Newbie level 6
Joined
Sep 6, 2011
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,369
Hi, I have the logic that generate DDR output signal e.g.

assign DDR_out = clk ? DDR_pos_reg : DDR_neg_reg

and using DC to synthesis it. clk signal is the select of the mux and create data value on both edge. This architecture is valid as mentioned in https://www.edaboard.com/threads/257864/ thread.

But I checked the clock tree log file and see it report DDR_out is in clock path. I think it is not correct and need to add some DC constraints to help tool understand my intention. What constraints can I use in my case? Thanks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top