Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] dump reg variables in VCD file

Status
Not open for further replies.

razi_seyyedi

Newbie level 1
Joined
Feb 23, 2010
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,290
Hi friends,

I have a simple testbech with these signals:

reg rst, clk;
wire line1, line2;
wire outp, overflw;

In the do file I wrote (I know I could have simply written that as: vcd add /tb/*):
vcd add /tb/rst
vcd add /tb/clk
vcd add /tb/line1
vcd add /tb/line2
vcd add /tb/outp
vcd add /tb/overflw

then the Modelsim complains that there is no object as 'rst' or 'clk'.

When I change my commands to:
vcd add -r /tb/*
OR
vcd add -r /*

I have all the variables I don't want recursively from inner modules including 'clk' and 'reg' but in the "$scope module dut" which is the module I've instanciated.

Any help would be appreciated.

Cheers,
Emad

- - - Updated - - -

Simple solution
I added those signals from the inner modules:
vcd add /tb/dut/reset
vcd add /tb/dut/clock
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top