Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Efficiency of Analog IC Designing

Status
Not open for further replies.
it depends a lot on the continent and company as well. I have always done my own layout for about 10 years in Canadaand Europe. Now, I am working back in Europe and somebody else is doing the layout. And it is a huge mess most of the time...because they can't follow what you want and you can't force them to do it

but again the same question remains for me: how can you do a very good design without knowing how to make the layout and vice versa?
 

In my company the 2nd one is the procedure we follow. In order for this to work, hire IC layout engineers that are IC layout engineers and not CAD operators.
 

i dont think designer doing layout is OK, there are layout team and IC design team in semiconductor company. IC designer have not much time to do layout, running sim across PVT and collecting data is already a tedious work :D no mentioning about tuning the analog circuit.

but currently, IC design engineer need to guide the layout people to draw the layout -- the designer need to specify many things in the sch to guide layout. this is because of the deep-submicron effect (NBTI, LOD, WPE, HCI, bla bla bla...).

but every IC designer appreciate layout people as changing an inverter in sch will make the life of layout engineer very hard :)

regards,
sp
 

Now it depens on whether you can find a layout guy you can totally trust.

sometimes i think that the circuit is not very layout dependent except for some critical blocks and lines.
 

For all of you some questions remain :

How can you give guidelines for layout if you have never made one?
How can you help the designer if you have never made design?

On top of that, if you have designed, made different layouts and then measured in the lab the outcome for all variants, you can have a good ideea about what is good to make in the layout and what is bad. Plus, you have to know very well the technology...otherwise you will never be complete as a designer in the real sense
 

Can you do all the thing of a new design?
Fab test lab debug and volume production quality tracing and customer's application support and so on
The circuit design and layout is not the only two thing for a successful chip.
 

Seems that the second way is more popular or should be in companies. As an analog IC designer, we should know all of circuit, simulation and layout.
 

marshel said:
Can you do all the thing of a new design?
Fab test lab debug and volume production quality tracing and customer's application support and so on
The circuit design and layout is not the only two thing for a successful chip.

I think you should design, follow the layout and then measure the outcome in the lab. You will be anyway the one who knows the best how to test his own work...especially if there is something wrong, You should find the reason and the solution. Only then you are complete. But again, this is my own opinion

Last but not least, nobody will ask you to to the fast testing, but you might be asked to support at some point in time the production...when there is a trouble. One of my former managers told us that we should follow our IC's from the cradle to the grave..

I could have added that knowing about packages, bonding ...also will add some flavour. Once you know all this thing you are a true analog/mixed signal designer
 

The efficient design would be the second one..bcoz the designer will ve enough knowledge abt the ckt he is fabricating..later incase of discrpancy he can solve it w/o much effort...
 

It is good to know both the design and layout, so that while design an engineer can consider the effect of coupling and de-coupling caps among number of metals,so that they can design a block of circuit with sufficient gain and bandwidth by taking into account the trade-off they can expect during the actual layout.
 

i'll go with the second method....under any circumstances...!!!
 

I like the first one now, but maybe it will change, hehe
 

I think the second one my be better, as we known ,layout design not same as circuit design,in my company,professional layout engineer can work faster than circuit design engineer, achieving good performance we can do more detail evaluation on layout.
 

i think secondone is best
it will improve the productivity
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top