Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Problem on verilog code

Status
Not open for further replies.

yann_sun

Member level 1
Joined
Jul 17, 2006
Messages
38
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,529
Hi, all
Could the file name of system function call $fopen("file","?") be variable file name, such as file1, file2, ...?
I've tried $fopen("file_$1","?") to open/generate a list of files, but not works.
If allowed, how to open/generate files with a variable name?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top