Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to terminate the statement in VHDL

Status
Not open for further replies.

rg350dxlover

Member level 1
Joined
Jul 15, 2008
Messages
35
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
Malaysia
Activity points
1,566
Hi.
How do I terminate a process in VHDL? Basically I have this:
What value should be assigned to the balance_out when gate_open <= 0?

Code:
process(balance_in, task_controller)
	begin
	gate_open <= '0';
	balance_out <= "0000000000000000";
		if task_controller = "1010" then
			if balance_in <= "101000110-------" then
				gate_open <= '1';
				balance_out <= "1010001100100000";
			end if;
		end if;	
	end process;

Thanks.
 

Please rectify with heading and question...

Every VHDL statement is terminated with a; semicolon

In process apply if-else statement.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top