Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Setting Clock Latencies of Multi-level Clock gated Design for post-synth Simulation

Status
Not open for further replies.

csotiriou

Newbie level 2
Joined
Aug 8, 2011
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,306
Hello there, I would appreciate any help on the following issue.

I have a multi-level clock gated design, which I would like to post-synthesis simulate, prior to back-end.

The clock latencies from the root pin to the FFs through the different clock gating cells differ from 10% of T, to 40% of T (inspected by gate-level simulation), more than what I have assigned in Synopsys DC, using the set_clock_latency command, and this I believe is causing HOLD violations.

My question is. What is the quickest and most effective way of appropriately setting the clock latencies through clock gates during synthesis? Do you synthesise first, measure latencies, and resynthesise?

Thanks.
 

Should it be related to the propagated clock?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top