Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ERROR:Place:120 - There were not enough sites to place ...

Status
Not open for further replies.

mehrara

Newbie level 6
Joined
Oct 28, 2003
Messages
14
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
135
error:place:120

hi
I am trying to implement a Viterbi decoder on a Xilinx V-II (XC2V1000-4)
but during the placement after warnings of the following type:
WARNING:place:119 - Unable to find location. TBUF component up0_I12_8 not
placed.
TBUF "up0_I12_8".
the placer halts with the following error:
ERROR:place:120 - There were not enough sites to place all selected components
I should mention that when I set timing driven packing and placement in mapping properties the mapping process also halts with the same error.
I use ISE 6.1i and I XST.
thanks
 

ise place 120

how many TBUF you have used?
did you open your design with FPGA EDITOR to see whether it is true that there is no more TBUF to be placed .

moreover, XC2V1000-4 support TBUF or not?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top