Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

altera_mf.v in altera suite what is required in xilinx ?

Status
Not open for further replies.

Guru59

Full Member level 4
Joined
Jul 10, 2006
Messages
217
Helped
7
Reputation
14
Reaction score
3
Trophy points
1,298
Activity points
2,812
hello all,
i have generated a RAM file in xilinx using core generator.
now to simulate the RAM file or to use it in my blocks what files are required to be compiled.
i mean to ask as altera_mf.v in altera suite what is required in xilinx.
 

Hi,

In Xilinx you have the Unisims and XilinxCoreLib libraries. You can find the sources of both libraries in:
<install_dir>/verilog/src
<install_dir>/vhdl/src

Devas
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top