Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What are the *free* tools supporting SystemVerilog?

Status
Not open for further replies.

kelvin_sg

Advanced Member level 4
Joined
Aug 17, 2004
Messages
102
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,298
Location
Singapore
Activity points
852
Hi, there

I was Verilog (Modelsim-XE/ISE) user now trying to read up SystemVerilog.
What are the tools supporting SystemVerilog?

I need a simulator and a synthesizer for my practices.

Thanks in advance!

:D
 

ModelSim SE supports SV and also Questa

Thanks and Regards
Deepak
 

In Modelsim-XE 62.g (ISE 9.2), Systemverilog simulation was broken. (It worked in the previous release, XE 6.2c)

But it's working again in XE 6.3c. XE's language-support is identical to Modelsim-PE -- it has most/all of the design-constructs, and a few verification constructs. Assertions, coverage, clocking-blocks, virtual interfaces supposedly aren't supported...

I found that the compiler compiles them just fine. Of course, the simulator prints a warning message saying these features are disabled in Modelsim XE.

You can also download Aldec ActiveHDL 7.3 SP1. It's less complete than Modelsim/XE/PE (no packages, many other limitations), but it supports SVA assertions (something XE/PE doesn't.) The downside is the eval-version only works 21-days, then it stops working.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top