Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to give false path constraints in Vivado *.xdc file for a group of signals ?

Status
Not open for further replies.

imbichie

Full Member level 6
Joined
Jul 30, 2010
Messages
381
Helped
55
Reputation
110
Reaction score
54
Trophy points
1,308
Location
Cochin/ Kerala/ India or Bangalore/ Karnataka/ Ind
Activity points
3,580
Hi All,

I am using Xilinx's Vivado 2013.3 for generating the *.bin file.
There are a lot asynchronous paths in my design.
for example if I have grouped the signals like :
# in CLK1 domain
set GROUP1 [get_cells {sig_a1}];
set GROUP1 [get_cells {sig_a2}];
set GROUP1 [get_cells {sig_a3}];

# in CLK2 domain
set GROUP2 [get_cells {sig_b1}];
set GROUP2 [get_cells {sig_b2}];
set GROUP2 [get_cells {sig_b3}];


here the sig_a1 in CLK1 domain driven to sig_b1 in CLK2 domain. Similarly sig_a2 to sig_b2 and sig_a3 to sig_b3.

How we can give the false path here in *.xdc file.

Whether we need to use set_clock_groups or set_false_path in this situation ?
 

Something like this should do the trick: set_clock_groups -asynchronous -group GROUP1 -group GROUP2

You can look at AR# 44651 for more information

Regards
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top