Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Define Own Package in modelsim?

Status
Not open for further replies.

mohammadyou

Member level 4
Joined
Oct 2, 2007
Messages
76
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,288
Activity points
1,785
hi all
i have problem to add my own package in modelsim
when i use IEEE_PROPOSED.fixed_pkg.all;
the error is (vcom-1136) Unknown identifier "ieee_proposed".

when i use Work.fixed_pkg.all;
and copy fixed_pkg_c.vhdl to work directory
the error is (vcom-1195) Cannot find expanded name "work.fixed_pkg".

how can i use my own package in modelsim???
thanks
 

use this guide, www.sital.co.il/pdf/Xilinx_Vlg_gtl.pdf

start from page 3 , compile your vhdl and use your name for the library you want.
in the ini file use the $MODEL_TECH/../name_of_your_library

This is for a permanent solution (until you delete the library from ini file).

Alex
 
thank you
i just copy my package in work directory and compile it
it appear in work library (in modelsim) and my problem solved
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top