Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ncxlmode does not generate wave!

Status
Not open for further replies.

otis

Member level 3
Joined
Sep 21, 2010
Messages
60
Helped
4
Reputation
8
Reaction score
3
Trophy points
1,288
Activity points
1,711
I use ncxl mode for my simulation but it does not generate waveform.

Here is the command that i use

ncxlmode +incdir+./ +libext+.v -y /.../RTL -y /../INCLUDE -y /../TESTBENCH -y /../TC +noupdate -f <path of a file that contails list ofall verilog files>

What mistake I am doing or what options I should add to get waveform.

I was expection sa folder "waves/" at end of the simulation..

Thanks in advance !
 

Hi,

Which signals have you selected for monitoring?

The following block selects all signals in the top of the design and down into module instance DUT0:

initial
begin
$dumpfile("sim.vcd")
$dumpvars(0,DUT0);
end

dumpvar syntax: $dumpvars(depth, intanceName)

The file sim.vcd can be read my many waveform viewers.

There is also a native format for SimVision that you may want to read about. Look for something like shm_probe...
 
  • Like
Reactions: otis

    otis

    Points: 2
    Helpful Answer Positive Rating
Thanks for the quick reply. it helped me...Now i see the waveform.

I used your code like this
initial
begin
$dumpfile("sim.vcd");
$dumpvars;
end
then I got some permission issue and tools suggested to me "access" option

then I added "+acess+rw"...then it was ok

But Now i see only the internal registers, I do not see the ports.

Any idea?

Thanks in advance for your reply.
 

Hi, glad it is starting to work.

The first arg to dumpvars is the depth of recording. It can be 0, 1, ... n.

Try: $dumpvars(0,DUT0);

replace DUT0 with your instantiation of your device under test
 
  • Like
Reactions: otis

    otis

    Points: 2
    Helpful Answer Positive Rating
Yes... it works

I also tried, the you suggested in the first post

$shm_open("wave.shm");
$shm_probe("AS");

it works... thanks!!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top