Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

finding crtitical path in the synthesized verilog code

Status
Not open for further replies.

sudheerprasad

Member level 2
Joined
Aug 25, 2009
Messages
45
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,288
Location
india
Activity points
1,676
hi,
i want to know the top ten(may vary) critical paths in my design,can be done while doin synthesis in design vision or should i take the synthesized verilog file and use any other synopsys tool
 

Re: finding critical paths in design vision

Yes, you can find your critical paths using Design Vision. Check your manual for report_timing. report_timing -max_path 10 will report the 10 worst paths per timing group.
 

you should synthesize the circuit and then find the longest path depending on the slack...met or neg..and the arrival times of diff ff...
 

you can use either design compiler (DC) or primetime (PT)

in your synthesis script, write a ddc format netlist (so that the constraints are embedded in the ddc file)

once you're done with synthesis and generated the ddc file, read it into either DC or PT. then do a report_timing -nworst 10 -max_paths 10. this will give the top 10 critical paths (with the largest negative slack, or with the smallest positive slack if it passes timing)
 

can we findout the paths having the max positive slack i.e least delay
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top