Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Error in Questasim 6.3a during simulation

Status
Not open for further replies.

monalishap

Newbie level 4
Joined
Nov 24, 2008
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,314
Hi,

I am using Questasim 6.3a and while simulation I got the following error can any body help to solve it
do E:/ISEproject/rahulextry/pciev1_9de2/pciexde2/pciede2ip/simulation/functional/simulate_mti.do

# ** Warning: (vlib-34) Library already exists at "work".

# Reading modelsim.ini

# "work" maps to directory work. (Default mapping)

# ** Error: (vcom-7) Failed to open -f file "board_rtl.f" in read mode.

# No such file or directory. (errno = ENOENT)

# ** Error: C:/QuestaSim_6.3a/win32/vcom failed.

# Error in macro E:\ISEproject\rahulextry\pciev1_9de2\pciexde2\pciede2ip\simulation\functional\simulate_mti.do line 4

# C:/QuestaSim_6.3a/win32/vcom failed.

# while executing

# "vcom -work work \

# -f board_rtl.f"

Thanks
Monalisha
 

Check "board_rtl.f" file exits in the dirctory and has read permissions
 

    monalishap

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top