Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Need Help in vhdl Code for input+100 points for working code

Status
Not open for further replies.

khamitkar.ravikant

Member level 4
Joined
Jul 15, 2008
Messages
78
Helped
6
Reputation
12
Reaction score
2
Trophy points
1,288
Location
India
Activity points
1,798
hi all
i am designing the code for AES system
as system works on 128bit data and 128 bit of key
i am ready with all module which work on data path of 128 bits
but i want a input to external entity in the form of 32bit
as input text as 32 bit data input simillerly key input is 32 bit and output
is 32 bit.
so all this should come inside with clock cycles and output should work after internal logic
is over and output with clock synchronus way.
so i need help in this regard if some buddy help me please
i will be greatful to him/her for kind help.

example code

as
entity core is
port ( clk : in std_logic;
textIn : in std_logic_vector (31 downto 0);
keyIn : in std_logic_vector(31 downto 0);
textOut : out std_logic_vector(31 downto 0)
);
architecture rtl of core is
signal --------------------
begin
process(clk)
variable ----------for registers--------
begin
if(clk='1' and clk'event ) then
variable as per case statement
end process;
end rtl;

please do somebuddy help me.
as my logic not working here
as i tried alot.
thanks alot
 

Re: Need Help in vhdl Code for input+100 points for working

khamitkar.ravikant said:
hi all
i am designing the code for AES system
as system works on 128bit data and 128 bit of key
i am ready with all module which work on data path of 128 bits
but i want a input to external entity in the form of 32bit
as input text as 32 bit data input simillerly key input is 32 bit and output
is 32 bit.
so all this should come inside with clock cycles and output should work after internal logic
is over and output with clock synchronus way.
so i need help in this regard if some buddy help me please
i will be greatful to him/her for kind help.

example code

as
entity core is
port ( clk : in std_logic;
textIn : in std_logic_vector (31 downto 0);
keyIn : in std_logic_vector(31 downto 0);
textOut : out std_logic_vector(31 downto 0)
);
architecture rtl of core is
signal --------------------
begin
process(clk)
variable ----------for registers--------
begin
if(clk='1' and clk'event ) then
variable as per case statement
end process;
end rtl;

please do somebuddy help me.
as my logic not working here
as i tried alot.
thanks alot

Hi!

You need to create 2 text files with key and data
and read this files in testbench stimulus.
See reading/writing file (textio package) operations.
 
Re: Need Help in vhdl Code for input+100 points for working

Hi,
These are two modules one for input and the other for output, also ther's a package contains constants for bus widths (set to 128 and 32). I've done bihavioral simulation and they work fine but please test them again and let me know your comments

Best regards,
Mostafa Amer
 
hi mostafa_amer
i am highly thank ful to you for this code
actually this code i just modified and used and it worked properly .
also one more problem i am facing can you help me out?
actualy when i am generating keyschedule that is round keys that are used during various rounds they are not getting properly matched will it be possible for you to look in to problem.
i will send files to your mail id , so that you can reply me on that .
will it be ok.
thanks for your so kind help.

Added after 1 minutes:

please let me know your mail id ok.
 

Re: Need Help in vhdl Code for input+100 points for working

Hi

I think u have to write process statement 3-times out of which
first for clock transistion
second for ur FSM/condition
third for assignment FSM o/p to output variable...

so all these process block excuting parallely...
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top