Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Does ncverilog support "local variable" in propert

Status
Not open for further replies.

donald007

Newbie level 6
Joined
Aug 28, 2007
Messages
11
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Location
TAIWAN
Activity points
1,336
Hi all,
I am trying writing a SVA to check one interface on a IP.
But I get a compile error in the line "logic [10:0] v_page_adr;".

I use "ncverilog +sv".
Do you know ncverilog support "local variable"? Thanks.

property p_linkpg;
logic [10:0] v_page_adr;
@(posedge clk)
A |-> B;
endproperty
 

Re: Does ncverilog support "local variable" in pro

donald007 said:
Hi all,
I am trying writing a SVA to check one interface on a IP.
But I get a compile error in the line "logic [10:0] v_page_adr;".

I use "ncverilog +sv".
Do you know ncverilog support "local variable"? Thanks.

property p_linkpg;
logic [10:0] v_page_adr;
@(posedge clk)
A |-> B;
endproperty

WIll be surprised if it didn't in latest release(s), which version do you use? ncsim -version??

Also consider posting at cdnusers.org

Ajeetha, CVC
www.noveldv.com
 

    donald007

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top