Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recommend me a 1kHz oscillator

Status
Not open for further replies.

JPdP

Junior Member level 1
Joined
Feb 10, 2005
Messages
15
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
127
I need a 1kHz oscillator to transmit a 1kHz signal from an IR Tx.
Any help would be apreciated. Needs to be Cheap and simple.

Regards
 

cd4060 1khz

Use CD4060 with a crystal that is binary multiple of 1000HZ. The CD4060 has oscillator and ripple counter that can divide the crystal frequency by 2^n.

Alternately, you can use a small microcontroller like PIC12C508 or Z86E0208 or AT89C1051 to generate a 1KHz signal.
 

    JPdP

    Points: 2
    Helpful Answer Positive Rating
ne555 1khz oscillator

Hi,

1.) You could try a NE555 timer IC. Requires a cap, and a couple of resistors to generate virtually any frequency you want. And this chip is cheap. I searched google for it, pictures:

https://images.google.co.uk/images?q=ne555&hl=en&lr=&sa=N&tab=wi

2.) Even easier. Use a CMOS/TTL schmitt trigger inverter. Chips: 74HC14, 4093 (nand gate, inputs tied). See attachement.

It all depends on what components are available to you, and how stable you want the oscillator. techie was spot on with his idea for a crystal osc.

Good luck.

BuriedCode.
 

    JPdP

    Points: 2
    Helpful Answer Positive Rating
1khz oscillator simple

Where can I get hold of the Spice models of these Chips: 74HC14, 4093

regards
 

simple 1khz oscillator

Hi JPdP,

I have never used spice (I really am behind with the whole 'software' thing) but those aren't the only chips you can use. There are many 'schmitt trigger' devices, some inverters, some nands. And I find it hard to believe any electronics simulation software is without some generic 'logic gates'.

Use google, or, if you're really stuck, you could 'build' a logic gate with discrete parts. Like MOSFETS, diodes, resistors etc... I'm sure google will have some examples.

Of course, you don't always have to simulate your designs, I'm sure the above circuit will work straight away, it did for me.

BuridCode.
 

    JPdP

    Points: 2
    Helpful Answer Positive Rating
oscillator 1khz 555

you can use the multvbriator cct to genrate sequre wave
 

cd4060 circuits

I use a 74hc04 simple circuit, see in book, "Mobile Robot" by Joseph.
 

1khz oscillator

i agree with bcode about the use of a 555 timer chip ive personally used it many times just get the values close for your freq and a trimmer to fine adjust it
 

Re: 1kHz Oscillator ???

the best way is use IC555 times as monostable multivibrator circuit..

That gives you better result search in google for circuit.. its simpleand efficient... in general mostof circuits use this as pulse or clock generators for low speed desing less that 50 MHz.


Regards
Shankar
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top