Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Functional eco automation - is there any new tools for it?

Status
Not open for further replies.

igorbogu

Newbie level 4
Joined
Jan 22, 2013
Messages
7
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,329
Hi
I'm searching for good functional eco tool. could you suggest me?
 

All the companies will have their own utility to implement/create the functional ECO's. I never heard of any tool used only for Functional ECO.
 

I have heard about dorado func eco.
So I am looking for something like them.
 
Thanks for your sharing.
It sounds interesting for the product and company you talking about.

This is the first time that I heard of "functional ECO" instead of timing ECO.
Currently, our company still apply manual ECO on APR netlist.
 

Gates On the Fly from NanDigits has a new release to do automatic functional ECO. You only need input netlist under ECO and reference netlist, and tell the tool which modules have been changed. The tool will figure out the minimum gate patch to fix the netlist under ECO. It's fast and efficient.
 

Conformal ECO is functional ECO tool used across the industry standard. Check in Cadence for more details.

Thanks Sam
 

I use Conformal ECO as well. Seems Conformal has two little challengers. It would be interesting to see how they perform. Who has dorado connections, how to get a dorado evaluation license. Nandy, can you give me an evaluation license?
Davids vs Goliath? :)
 

Thanks,Nandy. Will do. Can you give simple example on how to use it? Hope it's easy to setup, or I have to walk away

Hi igorbogu, do you have access to dorado eco tool?
 

The simplest script would be:

read_library("art.90nm.lib");
read_design("-ref", "reference.gv");
read_design("-imp", "implementation.gv");
fix_modules("mod1", "mod2"); # all modules that have been modified
set_top("topmod");
write_verilog("eco_verilog.v");

Please check this link for one use case
https://www.nandigits.com/use_case_automatic_functional_eco_0.htm
 

I have GOF setup and dorado has no feedback yet. Now GOF vs Conformal, stay tune...
 

One design passed on Conformal was tried on GOF. Gold 300m, revised 100m. Conformal uses 21 gates to fix two modified modules. GOF uses 18 gates, and LEC passes. Amazing! I have evaluated GOF several times, but this time is impressing. Congratulate Nandy.
I will compare new cases when they come.
Others having Conformal can correct me if I am wrong. Competition is always good!
 
  • Like
Reactions: Nandy

    Nandy

    Points: 2
    Helpful Answer Positive Rating
Thanks RCircuit! It's helpful. Technology is always our first focus.
 

One more thing that I like, GOF is more DFT friendly. It can take care of scan restitch after new flops are created in ECO. And new gated clocks have test pins connected correctly, while Conformal seems always tie them to zeros and scan optimized away.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top