Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Help be choose between Basys2 and DE0-nano

Status
Not open for further replies.

Pradeepa_kck

Newbie level 5
Joined
Dec 27, 2012
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Sri Lanka
Activity points
1,378
Guys,

I am about to buy a beginner FPGA kit. I have no experience in FPGA based designs. Therefore i really have no idea about the capacities i need to look for or the other stuff that i need to consider. I have been going through lots of forum posts and found out that Basys2 and DE0 are two decent boards. My budget is 100$ so i can't go for high end boards. So far i understood that basys two has all these ps2 + vga stuff in it but DE0 does not have them.

Most importantly i cannot understand the capacity of these toys. Basys2 with 250K and DE0 with some megabits. Moreover DE0 has more pins it seems.

I am in a complete dilemma!!! So please help me choose between this two boards.

Thanks in advance. :)
 

I bought Basys2 its comes in 2 models /Xilinx Spartan3E-100 or 250/ and student price for Spartan3E-100 is 49 bucks + shipping
 
thanx bro,

is 100K thing enough for moderate projects? i don't have any idea right now. But later if i wish to do some not so big not so small project would it be enough? I m hoping to go for 250K Basys 2. Then only i came across this DE0 :\
 

I choosed Basys2 because I'd like to learn VHDL platform / for me 100k is enough to learn VHDL /
 
Hi Yura717,

Disclaimer: I'm from Terasic.

With that out of the way, I can provide some information :). From this datasheet (https://www.xilinx.com/support/documentation/data_sheets/ds312.pdf), you can see that the 100K is the equivalent of 2,160 logic cells, and 250K is the equivalent of 5,508 logic cells.

From this page from Altera (https://www.altera.com/cgi-bin/device_compare.pl) the logic cell to logic element ratio is 1.125:1. The DE0-Nano has ~22K logic elements, which is roughly 27.5K logic cells.

Thus, for the academic price of $59 for both the DE0-Nano and Basys2, you're getting 12X the number of logic resources by going with the DE0-Nano. Plus the DE0-Nano has on-board 32MB of SDRAM, accelerometer, and ADC. I may be biased, but I don't really thing there's any competition here.

For great resources on learning VHDL or Verilog, check out Altera's website at https://www.altera.com/education/univ/materials/digital_logic/tutorials/unv-tutorials.html that are specially devoted to teaching for the DE0-Nano.

Good luck and have fun!

- Allen Houng

- - - Updated - - -

Hi Yura717,

Disclaimer: I'm from Terasic.

With that out of the way, I can provide some information :). From this datasheet (http://www.xilinx.com/support/documentation/data_sheets/ds312.pdf), you can see that the 100K is the equivalent of 2,160 logic cells, and 250K is the equivalent of 5,508 logic cells.

From this page from Altera (http://www.altera.com/cgi-bin/device_compare.pl) the logic cell to logic element ratio is 1.125:1. The DE0-Nano has ~22K logic elements, which is roughly 27.5K logic cells.

Thus, for the academic price of $59 for both the DE0-Nano and Basys2, you're getting 12X the number of logic resources by going with the DE0-Nano. Plus the DE0-Nano has on-board 32MB of SDRAM, accelerometer, and ADC. I may be biased, but I don't really thing there's any competition here.

For great resources on learning VHDL or Verilog, check out Altera's website at http://www.altera.com/education/univ/materials/digital_logic/tutorials/unv-tutorials.html that are specially devoted to teaching for the DE0-Nano.

Good luck and have fun!

- Allen Houng
 
thnx for response ayhong,

so according to what you say the only good thing about basys2 over de0 is the i/p o/p ports (ie: ps2, vga, etc.)? I have gone through the datasheets and what you say is logical capacity wise. even nexys2 has 19K gates which is less than 22K but there should be a reason for that. I'm talking as a buyer to get the best value for money.

The only thing is that when i see both these boards basys2 looks promising. And more than 90% of the forums advice to start from basys2. why is that?
 

Xilinx offers Free ISE WebPACK software which can be run on Linux.
I'm not sure if Altera offer free Linux based software.
 
The only thing is that when i see both these boards basys2 looks promising. And more than 90% of the forums advice to start from basys2. why is that?

Probably because the DE0-Nano is relatively new compared to the basys2.

Here's a few reviews I found online for your reference:
http://www.recontech.co.uk/index.php/reviews/51-altera-deo-nano-a-review
**broken link removed**
http://dangerousprototypes.com/2011/08/04/de0-nano-fpga-development-kit-review/

With that being said, the choice is up to you! Hope you love working with FPGAs no matter which solution you decide to go with :)
 

Hey guys,

Thanx for the help. Nevertheless i went for the DE0-Nano. Though people say that Altera is not convenient enough i thought of giving it a try.

Why I went for it is the question...

1. Lot's of I/O:

I have 7-segment, ps2 modules with me, and even buttons can be easily soldered. So those peripherals eating up the available I/O pins was a disadvantage for me.

2. ADC:

I think BASYS2 has no ADC... But DE0 has 8chanel 12bit ADC which is great when interfacing some analogue sensor.

3. Clock instability:

It is said that the BASYS2 adjustable master clock is unstable. so i might need to buy an crystal oscillator too. A good crystal with shipping might cost me more.

4. CAPACITY!!!

As mentioned above, DAMN!!!! DE0-Nano has a lot of capacity.

250K gates vs 22K logic cells is great. (But i don't know what i'll do with it.)

and SDRAM 32Mbit, etc.

5. Accelerometer:

DE0-Nano has a cool accelerometer... I like to work with that.

6. The tool to check the DE0-Nano board is cool.

But i have no experience in FPGA. So let's see.

Thank you once again
 

Dear All,

Thanx for your generous guidlines. I went for the DE0-Nano.

Why?

Pros:

1. More capacity.
2. More I/O ports.
3. Better clock stabitliy.
4. More RAM.
5. Accelerometer.
6. Cool board debuging software.
7. 8 channel 12bit ADC (Basys2 has no ADC as i see!!! )

Cons of DE0:

1. Very small to use by a beginner (it is said).
2. Looks!!! (BASYS2 looks great)
3. Less gadgets. (PS2, VGA, 7seg)
But i have all those modules with me which are very easy to make. :)
4. People say that Xilinx is convenient.

But I though pros are superior than cons for the DE0-Nano.

I should find tutorials and support now. I hope Altera has a good, friendly community. I will comment on it here later, as
this post will be read by lots of people.

Thank you
 

Altera has free webpack for Linux.
I downloaded Altera 9.1 Sp1 size about 2 gig
I use Ubuntu 10.10 ... After installation you need do these commands:
cd /opt/altera9.1sp1/quartus/linux/
sudo mv libX11.so.6 libX11.so.6_old
sudo mv libuuid.so.1 libuuid.so.1_old
And you can start appl by /opt/altera9.1sp1/quartus/bin/quartus
 

Altera has interactive tutorial teaches you the basic components of the Quartus® II software including best-practice design flows, project management & design tools, & programming a device. Each tutorial module has a Show Me, Guide Me, & Test Me component to first achieve an understanding of a design feature & then test you on what you have learned. You can navigate to any module in the tutorial at any time with the Table of Contents & explore features at your own pace. The tutorial contains 8 modules each taking ~25 minutes to complete: Quartus II Overview, Create a Design, Compile a Design, Run Timing Analysis, Configure a Device, Incremental Compilation, the SignalTap® II logic analyzer & Create a Qsys System
 

Thank you yura,

Is this tutorials available in the link posted here by ayhoung? I downloaded the Quartus® II web edition yesterday. I'll look into those tutorials and if you found a free time please post the link to the tutorials here. Moreover since I could not find an easy comparison of two boards in the net i decided to put a blog entry too,

https://unboxnbeyond.wordpress.com/

I hope my entries are valid. This thread will be valuable to FPGA newbies. :)
 

from Quartus® II software you can get access to Inter-active tutor .
 

Basys 2 was designed to be a easy way to learn.

The pro's

High quality
Excelent documentation and supporting software

The con's

Near all io's are already used and you will have only 16 free io's all other pins is already conected to other stuff
Don't come with a crystal based clock, and Jitter can a be a problem specially on VGA output.

For a starters Basys-2 is for sure a good point, but it's for starters only

It's good value to be 1st board, specially due to extremelly good documentation
 
  • Like
Reactions: yura717

    yura717

    Points: 2
    Helpful Answer Positive Rating

It's unfair to compare Ciclone IV with Spartan 3, they aren't on the same level, perhaps Spartan 6 can be

I personally work with both Xilinx and Altera and both worlds have *** and bad's, the point is that Basys-2 as the name itsel make clear it's basic, this means easy for starters, For sure Deo's is more powerfull, but at the same time more complex and less friendly for starters, that the point on basys-2 it's a kid's board, with everthing to start on FPGA in 1 minute, without necessitity off external itens, Deo Nano isn't for starters it's for people with more time on FPGA that would preffer more power instead off the easy world off basys, Take a look here http://www.digilentinc.com/Products/Detail.cfm?NavPath=2,400,790&Prod=BASYS2

- - - Updated - - -

Also I would sugest that take a look on papilo http://papilio.cc/ that's a very nice concept.
 

Actually ebclr i was comparing them with respect to the cost. They both cost the same. I felt that if we can create expansion kits then it would be better to go for DE0. I hope i am right. Since i don't know about differences between FPGA families i did not worry about it. I just compared w.r.t. the cost.
Yes of course i am with you on the kid's board thing. I'm a newbie but a person who is cable of soldering stuff and making expansion kits. I clearly mentioned that in the comparison.
Thanx :)
 

I think a good book about VHDL is The Designer's Guide to VHDL by Peter J. Ashenden.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top