Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

why FPGA exsit while Microcontroller is available

Status
Not open for further replies.

PA3040

Advanced Member level 3
Joined
Aug 1, 2011
Messages
883
Helped
43
Reputation
88
Reaction score
43
Trophy points
1,308
Activity points
6,936
Dear All
I am new for FPGA
Please advice me why FPGA exsit while Microcontroller is available
What are things that FPGA can do, that Microcontroller can't do

Does FPGA Programming is a new technology or old technology?
What are the freely available softwares for FPGA programming (IDE) Like MPLAB?
What are the most popular programming languages for FPGA programming?
Which FPGA is recommended by expert for beginner?
Please guide me
 
Last edited:

Hi,

1) js posted link gives you some idea about FPGA Vs microcontroller.
2) You can try ISE Webpack by Xilinx. or Altera's Quartus to learn fpga basics.
 
  • Like
Reactions: PA3040

    PA3040

    Points: 2
    Helpful Answer Positive Rating
Does FPGA Programming is a new technology or old technology?

I imagine you're asking if FPGA's are outdated technology. Short answer: definately, not!
Modern FPGAs have an incredible processing power and are used frequently.

FPGA's have two main advantages:
1) You can design your own hardware to meet your specific needs, and you can change the architecture whenever you need it.
2) You can do a lot of processing in parallel, having plenty of circuits running at the same time. The total data throughput can be very high.

Microcontrollers also have 2 advantages:
1) Clock speed is usually higher making serial processing faster than in FPGAs but since you have only one processor, the total throughput is lower.
2) You don't have to worry about hardware and can focus simply on software, making things easier.

For FPGA simulation Modelsim is a good choice and they have student licenses which are free. Depending on which FPGAs you use, Xilinx or Altera, you can use Webpack ISE or Quartus respectively to "program" the FPGA, which are free as well.

For programming, there are 2 languages: Verilog and VHDL. Verilog is easier, VHDL is more robust. Both are good. If you don't know which to choose, toss a coin in the air. Personally, I prefer Verilog and I believe it is the most used language.

A good FPGA for begginer is either the Cyclone II from Altera or Spartan 3 from Xilinx. Both are good enough for beginner and medium advanced users and there are some cheap boards on Ebay (less than 40 dollars).
 
  • Like
Reactions: PA3040

    PA3040

    Points: 2
    Helpful Answer Positive Rating
Dear fcfusion ,

Your reply a great helped to me

I visited xilinx website and I found software for windows and it's capacity is 4.92GB am I correct
 

Yes, ISE Webpack is huge.
 

    V

    Points: 2
    Helpful Answer Positive Rating
Yes, ISE Webpack is huge.

Thanks for again and again for reply

Kindly please advice
Which FPGA I should start as a beginner
my next question is, why high level languages like java, C, C#, do not support for FAGA programming?
 

Which FPGA I should start as a beginner

Like I said any Cyclone II from Altera or Spartan 3 from Xilinx are excellent FPGAs for beginners mainly because they're relativelly powerfull and very cheap.

my next question is, why high level languages like java, C, C#, do not support for FAGA programming?

This a tough question to answer mainly because it would require you to understand the difference between software and hardware, which is huge but you probably can't understand yet. Anyway, I'll try.

Java, C and C# are software languages meaning the code they run is software only: code runs in series, one line of code at the time. Even when using multiple threads on single-core processors, in the end the code only runs in series.

However in FPGAs (hardware) you always have thousands or millions of signals running in parallel and those languages cannot simulate that behaviour. Verilog and VHDL use a bunch of techniques to allow the simulation of those circuits reliably.

Keep in mind that programing software and hardware are 2 completely different things even if Verilog and VHDL share some of the characteristics of those high-level languages. Besides that, the ideia behind Verilog and VHDL is that the code you write can be translated into hardware automatically by your tools (like ISE Webpack). That is only possible if the language allows that.
 

Like I said any Cyclone II from Altera or Spartan 3 from Xilinx are excellent FPGAs for beginners mainly because they're relativelly powerfull and very cheap.


This a tough question to answer mainly because it would require you to understand the difference between software and hardware, which is huge but you probably can't understand yet. Anyway, I'll try.


Dear Thanks for reply

I would much appreciated, if you can explain in much complex format. I think I am in position to understand it.

Thanks
 

What are the most popular programming languages for FPGA programming?
This is a typical misunderstanding.
Verilog and VHDL are NOT programming languages.
They are description languages.
They simply replace what used to be electronic schematics, populated with flip-flops, registers, data buses, finite state machines, logic gates, decoders, multiplexers, etc...
If you don't know what I was talking about in the previous line then you should read an introductory book about digital electronics.
 
This is a typical misunderstanding.
Verilog and VHDL are NOT programming languages.
They are description languages

Yes dave9000, they are description languages. From all the explanation I gave I tought that semantics were the least important. You have no ideia how many times I hear that when I say "I program in Verilog...". FPGA developers seem to get offended by that. Besides that, I covered some of the differences between software and hardware "programming" in my previous explanation.

I would much appreciated, if you can explain in much complex format. I think I am in position to understand it.

Like I said, it's difficult to explain. Its easier to understand on the fly, as you learn to code and simulate. Sorry I can't help you more.
 
Last edited:

Yes dave9000, they are description languages. From all the explanation I gave I tought that semantics were the least important. You have no ideia how many times I hear that when I say "I program in Verilog...". FPGA developers seem to get offended by that. Besides that, I covered some of the differences between software and hardware "programming" in my previous explanation.



Like I said, it's difficult to explain. Its easier to understand on the fly, as you learn to code and simulate. Sorry I can't help you more.

Thanks fcfusion and deve900,
you helped me lot on this. Yes, I agree with you, it is impossible to swimming without jump to the water
I will come later with practical issues
Thanks again and again
 

First buy a development board from FPGA vendors like xilinx\altera or 3rd party vendors like digilentic, etc. If you show some interest in buying FPGA boards and learn, then



Please take moment to see this link. You shouldbe getting some idea. They should also provide webpack edition along with this I believe. This is a good board to start learning verilog\vhdl.

Verilog wouldbe easier to learn if you are accustomed with C. VHDL is little bit tough or different in this case.
 

You have no ideia how many times I hear that when I say "I program in Verilog..." FPGA developers seem to get offended by that
I may get offended indeed :)
I just wanted to make clear to the original poster that verilog/vhdl really have got nothing to do with C/Ada, they just look similar.
Sometimes even people working in the field are not fully aware of the difference.
Lately I was in need of some help on a complex FPGA design, so a software developer I work with (he is very smart in his job) proposed to join the design team.
He said that "vhdl can not be such a big deal, getting used to the syntax of a new language just takes a few weeks".
He had no idea what he was talking about!
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top