Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by wizard

  1. wizard

    Pad Cells for boundary scan insertion

    Generally except VDD/VSS power pads, most input/ouptut/inout pads can be used for boundary scan. First let function logic designers select pads that meet their function needs then DFT engineers build the boundary scan chain and tap logic.
  2. wizard

    Pattern number signal in shm

    That depends on the ATPG tool you're using. Please read the verilog testbench generated. There should be some signals representing pattern count. If you're using Mentor's tessent, have a look at "_pattern_count".
  3. wizard

    Advantages of not instantiating DPRAM but to realize by registers

    A good question. There are a lot of trade off here. My two cents. One is that RAM is not easily portable while registers in RTL are easily portable to other processes. If you give your RTL as IP to mutiple customers or you design for multiple processes, portability would be a factor. The other...
  4. wizard

    ATPG DRC and SCAN DRC

    I think you're talking about DRCs in tessent tool. AFAIK, Scan DRC is for pre-scan drc and ATPG DRC is for post-scan and pre-ATPG. Yes, there are some DRC for checking EDT when you switching to analysis system mode.
  5. wizard

    Pad Cells for boundary scan insertion

    Generally pad cell libraries are separated from normal standard cell libraries. Those libraries' names are much different from those standard cell ones.
  6. wizard

    Taking care of retiming during LEC

    For formality, most optimization including retiming are stored in svf file that you need not much extra user input. For Conformal, there are some commands like 'analyze retiming' for retimed modules.
  7. wizard

    How to specify the design in CADENCE GENUS?

    You need specify top name for elaborate command if there are multiple tops in your provided RTL.
  8. wizard

    How to place double row standard cell?

    Double height cells are common these days. Most current PR tools like innovus/icc2 can handle them automatically without more user input.
  9. wizard

    EDT pattern simulation

    IIRC, edt parallel patterns do not simulate edt logic.They only force 0/1 on flops' SI pins. So if serial patterns fail, there might be something wrong on scan paths.
  10. wizard

    Conformal lec map issues

    What are the not-mappped DLATs are? Are they clock gating? Have you turn on clock gating remodelling? It is abnormal because there are often not much clock gating in RTL.
  11. wizard

    Conformal lec question

    The Non-eq is caused by incorrectly mapped key point? It might be caused by some other reason.
  12. wizard

    What may be reason for placement not having the same tiing results , even though the Floorplan is same? Can anyone help me with this?

    Those runs have same tool settings? Usually there might be some very small difference(not exactly the same, but in a close range) if design inputs are same and tool settings are same. It's due to heuristic algorithms used in tools that might not generate same results in different runs.
  13. wizard

    DFT related - How you will mitigate setup time as a DFT engineer?

    Usually DFT logic, for example scan path, are simple, you don't need pay much attention to DFT logic as functional logic on setup time.
  14. wizard

    Frequencies of clocks for transition faults ATPG

    No. In most cases, ATPG tools already assume the design has been timing closed and the generated patterns are the same whatever the frequency is.
  15. wizard

    DEF file and Design Compiler

    It's write_def command if you're using DCG.

Part and Inventory Search

Back
Top