Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
For example:
Look at : Language Templates -> VHDL -> Component Instantiation ->
-> Clock DLL (in XILINX ISE )
Or get it...( it's direct copy & paste from "Language Template" :) )
--Virtex CLKDLL instantiation
--See XAPP 132 for more examples
--Use "CLK" as your internal clock...
For the unequivocal answer there is enough information.
- Try to check up timing reports on conformity time restrictions of your
project. If necessary change timing constraints.
- Check up set/reset conditions.
- Check up the list of the removed and optimized nets and blocks.
Or give more...
Re: Working with Matrix
In my opinion, I would not began to use IP functions, unless lowest level
( multiplier, adder), and would make all on HDL.
Also look in applications notes, there it is full of the helpful information. I work with Xilinx and consequently I can not give concrete the...
you need signal change state detect circuit and delay counter.
for example:
-- d - input signal
------------------------------------------------------
-- flip-flop for delay signal
------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
q <=...
for kids... All wonderful and beautiful,but too many litle bugs,which together make bad work...
For true work use native tools or realy hard tools -ModelSim,Synplify.
Re: clock divider
Yes. Simple detect circuit is flip-flop with xor gate.
for example:
process(clk_i)
begin
if rising_edge(clk_i) then
q <= d;
end if;
end process;
change_state <= d xor q;
but this example is not glich free...
For more...
Re: synplify problem.
Synplify does not support type of data REAL. You are mistaken.
Otherwise result to me the FULL REFERENCE on the documentation where it is told about the opposite.
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.