Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by wasp

  1. wasp

    HELP: Can't download *.pof file to my chip! (Altera CPLD)

    pof file special Try on the shoter cable length between CPLD and 74hc244.
  2. wasp

    Looking for VHDL code for implementing serial port on FPGA

    Re: Serial port using VHDL Loook at https://www.opencores.org
  3. wasp

    How to avoid illegal state with one-hot encoding?

    Read this, it's cover your question... For Verilog **broken link removed** For VHDL **broken link removed**
  4. wasp

    help me to use a DLL in sparatnII

    For example: Look at : Language Templates -> VHDL -> Component Instantiation -> -> Clock DLL (in XILINX ISE ) Or get it...( it's direct copy & paste from "Language Template" :) ) --Virtex CLKDLL instantiation --See XAPP 132 for more examples --Use "CLK" as your internal clock...
  5. wasp

    Problems with Webpack 6.2

    For the unequivocal answer there is enough information. - Try to check up timing reports on conformity time restrictions of your project. If necessary change timing constraints. - Check up set/reset conditions. - Check up the list of the removed and optimized nets and blocks. Or give more...
  6. wasp

    The best way to implement matrix multiplication ?

    Re: Working with Matrix In my opinion, I would not began to use IP functions, unless lowest level ( multiplier, adder), and would make all on HDL. Also look in applications notes, there it is full of the helpful information. I work with Xilinx and consequently I can not give concrete the...
  7. wasp

    help me to use a DLL in sparatnII

    Look at : XAPP174,XAPP132. And for hdl usage look at Design Templates and examples. Or specify a question.
  8. wasp

    [SOLVED] How to implement the db(9-7) DBWT with FPGA?

    Re: wavelet by fpga If I correctly have understood you, not mine.
  9. wasp

    [SOLVED] How to implement the db(9-7) DBWT with FPGA?

    Re: wavelet by fpga If you are well familiar with the theory will not realize it on FPGA for you a problem. Otherwise small article on your question:
  10. wasp

    [Quartus II] How to hold a process for a specific time

    you need signal change state detect circuit and delay counter. for example: -- d - input signal ------------------------------------------------------ -- flip-flop for delay signal ------------------------------------------------------ process(clk) begin if rising_edge(clk) then q <=...
  11. wasp

    Newbie FPGA question... What do I need (from A to Z)...

    for kids... All wonderful and beautiful,but too many litle bugs,which together make bad work... For true work use native tools or realy hard tools -ModelSim,Synplify.
  12. wasp

    Designing a simple SPI slave in VHDL

    vhdl spi slave Look on https://www.opencores.org
  13. wasp

    Help me make a clock divider in VHDL using Altera FPGA

    Re: clock divider Yes. Simple detect circuit is flip-flop with xor gate. for example: process(clk_i) begin if rising_edge(clk_i) then q <= d; end if; end process; change_state <= d xor q; but this example is not glich free... For more...
  14. wasp

    Looking for working crc32 code in C or Verilog

    Re: crc32 behavoural Try that **broken link removed**
  15. wasp

    Why Synplify can compile some VHDL sources?

    Re: synplify problem. Synplify does not support type of data REAL. You are mistaken. Otherwise result to me the FULL REFERENCE on the documentation where it is told about the opposite.

Part and Inventory Search

Back
Top