Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

help me to use a DLL in sparatnII

Status
Not open for further replies.

Zerox100

Full Member level 6
Joined
Mar 1, 2003
Messages
328
Helped
21
Reputation
42
Reaction score
10
Trophy points
1,298
Activity points
2,604
Hi my friends,
i want to use a dll in my design. I can manually do that after place and route, but i want to do that before placeand route. Can anybody help me?
 

Look at : XAPP174,XAPP132.
And for hdl usage look at Design Templates and examples.
Or specify a question.
 

I want to specify for Ise that use a DLL in my clock path when i write rtl code
 

instance a DLL in your RTL design. After then, ISE can handle it. DLL is special resource. It can not be generated by synthesizor. Use DLL as a instance in your RTL and don't forget set systhesis attribute as "dont_tuch". Applying DLL instance is done by HDL wrap which xilinx provides.
 

edavio said:
instance a DLL in your RTL design. After then, ISE can handle it. DLL is special resource. It can not be generated by synthesizor. Use DLL as a instance in your RTL and don't forget set systhesis attribute as "dont_tuch". Applying DLL instance is done by HDL wrap which xilinx provides.
Have you got an example code?
 

For example:
Look at : Language Templates -> VHDL -> Component Instantiation ->
-> Clock DLL (in XILINX ISE )
Or get it...( it's direct copy & paste from "Language Template" :) )


--Virtex CLKDLL instantiation
--See XAPP 132 for more examples
--Use "CLK" as your internal clock signal

-- CLKIN_P, RST : in std_logic;
-- LOCKED : out std_logic

--**Insert the following between the 'architecture' and
---'begin' keywords**

signal CLKIN, CLK, CLK0 : std_logic;

component CLKDLL
port (CLKIN, CLKFB, RST : in STD_LOGIC;
CLK0, CLK90, CLK180, CLK270, CLK2X, CLKDV, LOCKED : out std_logic);
end component;

component IBUFG
port (I : in STD_LOGIC; O : out std_logic);
end component;

component BUFG
port (I : in STD_LOGIC; O : out std_logic);
end component;

--**Insert the following after the 'begin' keyword**
U1: IBUFG port map (I=>CLKIN_P, O=>CLKIN);

U2: CLKDLL port map (CLKIN=>CLKIN, CLKFB=>CLK, RST=>RST,
CLK0=>CLK0, LOCKED=>LOCKED);

U3: BUFG port map (I=>CLK0, O=>CLK);

*****************************************************
For Synplify use syn_black_box attribute for each component.
For other syntez program look documentation...
 

Just follow what wasp said. Otherwise you can pm to me.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top